DFiant HDL


Build Status Discord Chat Scala Steward badge

Welcome to the DFiant hardware description language (HDL) source code repository!

DFiant is a dataflow HDL and is embedded as a library in the Scala programming language. DFiant enables timing-agnostic and device-agnostic hardware description by using dataflow firing rules as logical constructs, coupled with modern software language features (e.g., inheritance, polymorphism) and classic HDL features (e.g., bit-accuracy, input/output ports).

Visit our webpage: https://dfianthdl.github.io/LEGaTO/

Acknowledgement

This work has been supported by EU H2020 ICT project LEGaTO, contract #780681.

LEGaTO